CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 滤波器

搜索资源列表

  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. Xilinx-FIR

    1下载:
  2. 基于Xilinx FPGA实现的系数可装载数字滤波器源代码-Configurable Digital Filter Based on FPGA (using Verilog under Matlab 2008a)
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-06
    • 文件大小:3090145
    • 提供者:胡文静
  1. fir

    1下载:
  2. 数字电路设计中的,fir滤波器设计,我做的是8位宽的,利用vhdl实现,附带了完整的代码,报告,我没有对我的信息进行删除,是希望大家能够诚实的利用这个代码,提高自身本领。-Digital circuit design, fir filter design, I am doing is 8 bits wide, using vhdl implementation, with a complete code, the report, I did not delete my information i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3323138
    • 提供者:de de
  1. cic_dec_8_five

    0下载:
  2. CIC抽取滤波器,抽取系数8,verilog版本,用于数字下变频-CIC decimation filter, extraction coefficient of 8, verilog version, for digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:791
    • 提供者:王刚
  1. DDS1

    0下载:
  2. 直接数字频率合成器(Direct Digital synthesizer)是从相位概念出发直接合成所需波形的一种频率合成技术。一个直接数字频率合成器由相位累加器、加法器、波形存储ROM、D/A转换器和低通滤波器(LPF)构成-Direct digital frequency synthesizer (Direct Digital synthesizer) is the concept of direct synthesis from the requirements phase of a wav
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:261457
    • 提供者:wufeng
  1. ddc_filter

    0下载:
  2. 基于数字下变频的低通滤波器设计,原理和设计理念-digital down convert or ddc low digital filter design
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:25212
    • 提供者:mediative
  1. QPSKdigitalreceiver

    1下载:
  2. QPSK全数字接收机PDF,详细介绍了QPSK全数字接收机的构成,环路滤波器、内插器、Gardner定时恢复等部分的详细设计-QPSK digital receiver PDF, details of the composition of QPSK digital receiver, loop filter, interpolator, Gardner Timing Recovery and other parts of the detailed design
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:442665
    • 提供者:周玉佳
  1. FPGA1IIR4

    0下载:
  2. 关于iir介绍,希望与大家共同提高。对于了解此滤波器的学习以及研究很有帮助,资料的详细功能-About iir introduction, hope we can together. Filter learning for understanding and study of this useful, detailed information on features
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:324071
    • 提供者:董军
  1. FIR_matlab_verilog

    0下载:
  2. matlab 仿真低通滤波器,然后用verilog硬件实现-using matlab to simulate a fir lowpass, then using verilog to implement it.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3273
    • 提供者:Fengxiaodong
  1. FIR_chanbing

    0下载:
  2. FIR滤波器的verilog HDL语言编写的,希望对大家有用-FIR filter verilog HDL languages, we hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:13275
    • 提供者:
  1. FIR2

    0下载:
  2. 以VERILOG语言描绘的用TLC549和TLC5615的数字低通滤波器的程序-VERILOG language used to describe the TLC549 and TLC5615 digital low pass filter process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:743
    • 提供者:李柏睿
  1. 86verilog

    0下载:
  2. 以FPGA 芯片为平台构建了数字信号滤波实时处理模块, 给出了 50Hz 陷波器的切比雪夫Ê 型 IIR 数字 滤波器 4 阶级联的结构, 提出了对滤波器系数量化的逼近方法, 完成了基于 FPGA 的陷波器实现, 并成功地实现了 对含有工频 50Hz 噪声干扰的心电信号的滤波处理, 通过与M at lab 计算所得到的滤波处理效果进行比较分析, 结 果表明: 基于FPGA 采用切比雪夫Ê 型 4 级级联结构的 IIR 数字滤波器的误差满足设计要求- W ith t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:15742
    • 提供者:任伟
  1. verilog

    0下载:
  2. 数字信号的处理,是用FPGA开发板实现的,可供做数字滤波器的提供参考-Digital signal processing is implemented with FPGA development board available for reference to do the digital filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:347503
    • 提供者:帅秀
  1. fir_lms

    1下载:
  2. verilog语言编写LMS(最小均方误差)自适应滤波器。-verilog language LMS (least mean square error) adaptive filter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1413
    • 提供者:刘俊斌
  1. FIR_Filter

    0下载:
  2. verilog的32阶FIR低通滤波器描述-verilog 32-order FIR low-pass filter described
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-15
    • 文件大小:1378304
    • 提供者:yuanjun
  1. filter_40MHz

    2下载:
  2. 数字化中频接收机,用在AD之后的带通滤波器,VERILOG描述,32阶-Digital IF receiver, used in the AD after the bandpass filter, VERILOG descr iption, 32-step
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-08
    • 文件大小:4642
    • 提供者:yuanjun
  1. Digital-Signal-Processing-with-FPGA

    0下载:
  2. FPGA结合DSP设计,如FIR、IIR滤波器,CORDIC算法,多重采样率信号处理,FFT,有对应的VHDL/Verilog 代码code-FPGA Combines with DSP, FIR 、IIR Digital Filters,CORDIC,FFT,Adaptive Filters,VHDL/Verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10501611
    • 提供者:rickdecent
  1. IIR_filter

    0下载:
  2. 本实例利用硬件乘法器实现一个IIR滤波器。文件包含实现的verilog代码。-The example used to implement a hardware multiplier IIR filter. File contains the implementation of the verilog code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1081061
    • 提供者:吴亮
  1. digital-filter

    0下载:
  2. Verilog语言综合的固定频率的数字滤波器,用于滤除夹杂在固定频率信号上的杂波信号,包含了Quaetus工程和仿真文件。-Verilog language integrated fixed-frequency digital filter for filtering out mixed signals at a fixed frequency noise on the signal contains Quaetus engineering and simulation files.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:214660
    • 提供者:张秋光
  1. cic.verilog

    0下载:
  2. 3阶的32倍抽取cic滤波器verilog代码-Level 3, 32 times the extraction of cic filter verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:934
    • 提供者:
« 1 2 3 45 6 7 8 9 10 ... 15 »
搜珍网 www.dssz.com